-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA256 Format: 3.0 (quilt) Source: spirv-llvm-translator-17 Binary: libllvmspirvlib17, libllvmspirvlib-17-dev, llvm-spirv-17 Architecture: any Version: 17.0.4-1 Maintainer: Debian OpenCL team Uploaders: Timo Aaltonen , Andreas Beckmann , Homepage: https://github.com/KhronosGroup/SPIRV-LLVM-Translator Standards-Version: 4.7.0 Vcs-Browser: https://salsa.debian.org/opencl-team/spirv-llvm-translator Vcs-Git: https://salsa.debian.org/opencl-team/spirv-llvm-translator.git -b llvm17/main Testsuite: autopkgtest Testsuite-Triggers: clang-17, cmake, g++, llvm-17-dev, make, pkgconf, spirv-headers, spirv-tools Build-Depends: debhelper-compat (= 13), dh-sequence-pkgkde-symbolshelper, cmake, gcc (>= 4:14), pkgconf, spirv-headers (>= 1.6.1+1.3.275.0), spirv-tools, libclang-17-dev, llvm-17-dev, clang-17 Package-List: libllvmspirvlib-17-dev deb libdevel optional arch=any libllvmspirvlib17 deb libs optional arch=any llvm-spirv-17 deb libdevel optional arch=any Checksums-Sha1: f62aa5047b7eada891fbff4dc2989327dfd0f62b 1631063 spirv-llvm-translator-17_17.0.4.orig.tar.gz bcf14163fba8f6e6c0cfe4095c4825030fcf4c7a 14184 spirv-llvm-translator-17_17.0.4-1.debian.tar.xz Checksums-Sha256: 9b993c6c4cad9eaa8db845b75d3f8da46e414e6ea47e90dc5bc87e3245920d05 1631063 spirv-llvm-translator-17_17.0.4.orig.tar.gz 21710edca655a075de6a0af0a850f9ffc494471dd786b724b51161f424a21918 14184 spirv-llvm-translator-17_17.0.4-1.debian.tar.xz Files: 20daa04593f7cd4472df0929bf1a7085 1631063 spirv-llvm-translator-17_17.0.4.orig.tar.gz 834a37c9f19b0e83804b8f86f1304a39 14184 spirv-llvm-translator-17_17.0.4-1.debian.tar.xz -----BEGIN PGP SIGNATURE----- iQJEBAEBCAAuFiEE6/MKMKjZxjvaRMaUX7M/k1np7QgFAmbjQdgQHGFuYmVAZGVi aWFuLm9yZwAKCRBfsz+TWentCDugD/9a3TxS6EMWrp4ULX4WWELCPbw9y0WBbtwI IWV3eh31pzlQAy9BUbzt1XXzkhjqxKbGlhxvIO3NoNYCnByKdNBLmRYdSKYtkhwb EbC7EN8qLMmWnsK4ss3q3UEg1b8Q9bh1XxEvhjTCW02Yt7J7+crCBzK4bc7p6oZM w+KS6FrHBk+pVu6VEC03ggBb7PZBNc0NtVdkYcEsogl5cIPpwVaUTA69+gymdTG5 9sUq8fdHas+AmucA8m2Xg5w6LJwwqrJnOh7YTXWbw+uKtxa2+3c2HPyEBUoAyVGA Sfq4VV1iQ6kJ6OgbDmq8Rzej7RM9buMff3gxfid5LvZrqerXxMrk5MODYyIwVO8Z qC1cIfQke3AcGWB90lIMuEYo1X7IaKG7Dfm+xoykE5LUJmTuP8NiEjqXiOq3Loi9 ZUBOzvAiGZWTgEygVAVUvGfLbiGWgSoJgEVwZWcn1ziVCPYzJpN2qMA7Ib9OBvHH +qXQznWGnTQZY4iFZgi9JMuas6U1mBX3OSyrRBINSlMdflV6Ra0R6nc6cv2UwFVW 0dALsq88fOJ0AORX8VHRNpUrhB9E/gqg/beRZ27OqyCr0xOA8n5qHtzckSktmv9r swjsMc4x4QCDIlSEW8xkju1FLAWN428pjeyG4J8LQ098+tpmgRwQQ0qsAR4qcsFF KH04RCoO6Q== =NnWo -----END PGP SIGNATURE-----